LINEAR FEEDBACK SHIFT REGISTER: AN OVERVIEW

Authors

  • Dhirendra Pandey Vandana Pandey Virendra Singh

Abstract

Linear Feedback shift Register is a Shift Register whose input bit is a Linear Function of its previous state. The most commonly used function of single bit is XOR. The Initial value of the LFSR is called seed and the operation of the register is deterministic, the stream values produced by the register are completely determined by the current state. Seed values can never be all zeroes. The register has a finite number of possible states; it must eventually enter a repeating cycle. LFSR with a well chosen feedback function can produce a sequence of bits which appears random and which has a very long cycle. In this paper we will discuss in brief about LFSR, Types of LFSR, Advantages and Disadvantages of LFSR, Applications of LFSR & Implementation of Text Pattern Generator.

References

R. V. PrasadaRao, N. A. Varaprasad, G. S. Babu and C. M. Mohan, ―Power

Optimization of Linear Feedback Shift Register for Low Power BIST Implemented in

HDL‖, International Journal of Modern Engineering Research (IJMER), Vol. 3, Issue. 3,

pp. 1523-1528 (2013).

Smith, Michael John S., Application-Specific Integrated Circuits. Addison-Wesley

Publishing Company, June 2017.

C. R. Reddy, S. Zilani and V. Sumalatha, ―Low Power, Low-Transition Random Pattern

Generator‖, International Journal of Engineering Research & Technology (IJERT), Vol.

, Issue 5 (2016)

D. Agrawal, B. Archambeault, J. Rao, and P. Rohatgi. The EM Side-Channel(s). In

CHES 2002, number 2523 in LNCS, pages 29–45, 2002.

A. Jutman, A. Tsertov and R. Ubar, ―A tool for Advanced Learning of LFSR-Based

Testing Principles‖, Baltic Electronics Conference, 2016 International.

E. Peeters, F. Standaert, and J. Quisquater. Power and Electromagnetic Analysis:

Improved Model, Consequences and Comparisons. Special issue of Integration, The

VLSI journal, Embedded Cryptographic Hardware, September 2006.

J. Lano, N. Mentens, B. Preneel, and I. Verbauwhede. Power analysis of synchronous

stream ciphers with resynchronization mechanism. In The State of the Art of Stream

Ciphers, 2004.

J. Lee and N. A. Touba, ―LFSR Reseeding Scheme Achieving Low Power dissipation

during Test‖, IEEE transactions on Computer aided design of integrated circuits and

systems, 26(2), February 2007.

CUI Wei, LI Chengshu and SUN Xin, ―FPGA Implementation of Universal Random

Number Generator‖ ICSP’04 Proceedings.

V. Beroulle, Y. Bertrand, L. Latorre and P. Nouet, ―On the use of an oscillation-based

test methodology for CMOS Micro-Electro-Mechanical Systems‖, Proceedings of the

Design, Automation and Test in Europe Conference and Exhibition (2002).

Saurabh Kotiyal, Himanshu Thapliyal, ―circuits for reversible quantum multiplier based

on binary tree optimizing ancilla and garbage bits‖, 27th international conference o

Published

2021-12-30